CCWO Embedded Space

CCWOの日々の開発を発信するブログ

FPGA

VHDL Verilog 参考書

はじめてのFPGA設計作者: 坂巻佳壽美出版社/メーカー: 東京電機大学出版局発売日: 2014/12/10メディア: 単行本(ソフトカバー)この商品を含むブログを見るCPUの創りかた作者: 渡波郁出版社/メーカー: 毎日コミュニケーションズ発売日: 2003/10/01メディア: …

VHDL / Verilog 参考リンク

入出力ポート 初めてでも使えるVHDL文法ガイド ―― 記述スタイル編 初めてでも使えるVerilog HDL文法ガイド ―― 文法ガイド編 Verilog初心者がよく失敗するポイントと解決 Verilog Verilogで犯しがちな記述ミス ISim による Verilog テストベンチ Verilog Veri…

EDA Netlist エラーの対処法

EDA Netlist Writer エラーの対処法 Quartusのプロジェクトではデフォルトでフルコンパイルを回すと上記エラーが発生することがあります. このエラーは解消しなくてもビットストリームは生成されるので実装に影響はありませんが, 毎回エラーが出ると精神衛生…

Cyclone 10 Intel(Altera) 共用ピン 設定

Cyclone 10 LPの共用ピンの設定でつまったのでメモをFPGAのピンの中にはコンフィグ用のピンが多く存在しこれがたまにRegular I/Oと共用になっており、PinPlannerから設定したときにMulti Assignmentでエラーになることがあります。そのときは Assigment>Devi…

FPGA Intel(Altera) MAX10 Lチカ

ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.comFPGA MAX10の使い方を見てきました。 なかなか、多岐に渡って…

FPGA Intel(Altera) MAX10 Timing Analyzer

次にFPGA MAX10 Timing Analyzerについて見ていきます。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Timing Analyzer Tools→TimeQueset Timing Analyzerを選択します。 Netlist→Create Ti…

FPGA Intel(Altera) MAX10 Signal Tap Ⅱ

Signal Tap ⅡはQuartusのロジアナ機能みたいなものです。 今回はそちらを使っていきたいと思います。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Signal Tap Ⅱ CQの本では、Tools→Options…

FPGA Intel(FPGA) MAX10 書き込み

ccwo-embed.hatenablog.comここまででコンパイルは完了し、書き込みファイルが生成されたので、実際に書き込みます。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Programmer Tool→Program…

FPGA Intel(Altera) MAX10 Pin Planner

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Pin Planner ccwo-embed.hatenablog.com 前回の記事まででプロジェクトの作成を行い、トップレベルモジュールを記述しました。次にピンのフィ…

FPGA Intel(Altera) MAX10 Topモジュールの作成

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C こちらの記事で作成したプロジェクトから ccwo-embed.hatenablog.com このような画面が生成されたはずです。 Topモジュールを作成するために、…

FPGA Intel(Altera) MAX10 プロジェクトの作成

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C プロジェクトの作成 Quartus Primeを開いて、New Project Wizardを選択します。(左上Newか真ん中のアイコンから) Introduction そのままNext…

FPGA Intel(Altera) MAX10 開発

FPGAのMAX10を1年ほど前からちょこちょこ触っているのですが、FPGAの開発環境は複雑なので毎回いろいろ復習し直してで大変なのでブログにまとめていきたいと思います。 まずはLチカを題材に プロジェクトの作成 Topモジュールの作成 Pin Planner 書き込み(.s…

FPGA Intel(Altera) Cyclone 10 開発

Cyclone 10を使ってFPGAの周辺機能を一つずつ開発していきたいと思います。 GitHubのリポジトリを用意しました。ここで開発を行っていく予定です。github.com最終的に UART SPI I2C SDRAM Camera TFT Ethernet HDMI の開発ができればよいと思っています。FPG…

テストベンチ VHDL ファイル テンプレート

テストベンチのVHDLのテンプレートです。 私が使っているのはこんな感じです。 ----------- -- library ----------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_misc.…

テストベンチ do ファイル テンプレート

VHDLのテストベンチのテンプレートです。 テストベンチを毎回Transcriptから対話型でやっていたら時間がかかってしまうので、doファイルのテンプレートを作って実行するのが効率的です。このやり方の場合は毎度すべて再コンパイルするのでファイルが大きくな…

VHDL テンプレート

FPGAをVHDLで開発しているときに何個もVHDLを書いているとどうしてもテンプレートが欲しくなりますよね・・・(笑) 自分が使っているVHDLのテンプレートを張っておきます。特にどうってこともない普通のものです。 library ieee; use ieee.std_logic_1164.a…

Quartus Prime と ModelSim の NativeLink の使い方

Quartus PrimeとModelSim(Intel Starter Edition)はNativeLinkという方式でQuartus Primeから直接ModelSimを起動することができます。 しかし、デフォルトではModelSimを起動することができないため起動方法を示します。まず、ModelSimは Tools>Run Simulati…

Quartus プロジェクトのバージョン管理

Quartusのプロジェクトをバージョン管理しようと思っています。 そこでネックになるのが.gitignoreファイルの運用です。 暫定で自分が使っているものをあげておきます。 この.gitignoreファイルをQuartusのQPFファイルと同じディレクトリに保存してください…

FPGAの認識 Intel(Altera) Quartus Primeの場合

FPGAは多くの場合、評価ボードを購入して開発を行うかと思います。FPGA評価ボードに電源を入れてまずやることはFPGAの認識です。開発環境 Windows 10 pro 64bit Quartus Prime 18.1 Lite Edition 1. Quartus Prime Programmerを開く2. Hardware Setup...を開…