CCWO Embedded Space

CCWOの日々の開発を発信するブログ

MAX10

FPGA Intel(Altera) MAX10 Lチカ

ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.com ccwo-embed.hatenablog.comFPGA MAX10の使い方を見てきました。 なかなか、多岐に渡って…

FPGA Intel(Altera) MAX10 Timing Analyzer

次にFPGA MAX10 Timing Analyzerについて見ていきます。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Timing Analyzer Tools→TimeQueset Timing Analyzerを選択します。 Netlist→Create Ti…

FPGA Intel(Altera) MAX10 Signal Tap Ⅱ

Signal Tap ⅡはQuartusのロジアナ機能みたいなものです。 今回はそちらを使っていきたいと思います。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Signal Tap Ⅱ CQの本では、Tools→Options…

FPGA Intel(FPGA) MAX10 書き込み

ccwo-embed.hatenablog.comここまででコンパイルは完了し、書き込みファイルが生成されたので、実際に書き込みます。 開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Programmer Tool→Program…

FPGA Intel(Altera) MAX10 Pin Planner

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C Pin Planner ccwo-embed.hatenablog.com 前回の記事まででプロジェクトの作成を行い、トップレベルモジュールを記述しました。次にピンのフィ…

FPGA Intel(Altera) MAX10 Topモジュールの作成

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C こちらの記事で作成したプロジェクトから ccwo-embed.hatenablog.com このような画面が生成されたはずです。 Topモジュールを作成するために、…

FPGA Intel(Altera) MAX10 プロジェクトの作成

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C プロジェクトの作成 Quartus Primeを開いて、New Project Wizardを選択します。(左上Newか真ん中のアイコンから) Introduction そのままNext…

FPGA Intel(Altera) MAX10 開発

FPGAのMAX10を1年ほど前からちょこちょこ触っているのですが、FPGAの開発環境は複雑なので毎回いろいろ復習し直してで大変なのでブログにまとめていきたいと思います。 まずはLチカを題材に プロジェクトの作成 Topモジュールの作成 Pin Planner 書き込み(.s…